aboutsummaryrefslogtreecommitdiff
path: root/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32
diff options
context:
space:
mode:
authorJeremy Johnson <jeremy.johnson@arm.com>2022-06-17 13:06:34 +0100
committerJeremy Johnson <jeremy.johnson@arm.com>2022-06-17 13:06:34 +0100
commitd53378c6e205afdc2a538ac438ecc717ccc2718c (patch)
tree95dfa1097fcad523250a264a4548f629a3082f57 /operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32
parent26c9e26c22c744dd05a2fe09763ac09bc09711db (diff)
downloadconformance_tests-d53378c6e205afdc2a538ac438ecc717ccc2718c.tar.gz
Updating BITWISE_OR tests for v0.30.0 release
Signed-off-by: Jeremy Johnson <jeremy.johnson@arm.com> Change-Id: I86e01aee3eaff011fc596f7e3f062ea621b575e4
Diffstat (limited to 'operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32')
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/desc.json20
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-0.json37
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-1.json6
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/test.json55
4 files changed, 118 insertions, 0 deletions
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/desc.json b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/desc.json
new file mode 100644
index 000000000..32d976811
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/desc.json
@@ -0,0 +1,20 @@
+{
+ "tosa_file": "test.json",
+ "ifm_name": [
+ "input-0",
+ "input-1"
+ ],
+ "ifm_file": [
+ "input-0.npy",
+ "input-1.npy"
+ ],
+ "ofm_name": [
+ "result-0"
+ ],
+ "ofm_file": [
+ "result-0.npy"
+ ],
+ "expected_return_code": 2,
+ "expected_failure": true,
+ "expected_failure_desc": "Op input list does not match expected input"
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-0.json b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-0.json
new file mode 100644
index 000000000..b58479490
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-0.json
@@ -0,0 +1,37 @@
+{
+ "type": "int32",
+ "data": [
+ -1109260052,
+ -747369654,
+ -1199019178,
+ 74285795,
+ -1207163947,
+ -1170722344,
+ 1474942082,
+ 1300482202,
+ 1439307796,
+ -1132592751,
+ -481282260,
+ 1673209100,
+ -993351641,
+ 1372274273,
+ -1867638505,
+ 774628838,
+ 1768041157,
+ -1868912444,
+ 669721378,
+ -315034046,
+ 1114530265,
+ 2029526964,
+ 1406113902,
+ 848806324,
+ -1838103059,
+ 1300912000,
+ 180785081,
+ -10826050,
+ 777215818,
+ -1310468591,
+ 1912377823,
+ 2143234609
+ ]
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-1.json b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-1.json
new file mode 100644
index 000000000..6dd12b88b
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/input-1.json
@@ -0,0 +1,6 @@
+{
+ "type": "int32",
+ "data": [
+ 1205388176
+ ]
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/test.json b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/test.json
new file mode 100644
index 000000000..b242740de
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_ERRORIF_WrongInputList_32_i32/test.json
@@ -0,0 +1,55 @@
+{
+ version: {
+ _major: 0,
+ _minor: 30,
+ _patch: 0,
+ _draft: false
+ },
+ blocks: [
+ {
+ name: "main",
+ operators: [
+ {
+ op: "BITWISE_OR",
+ attribute_type: "NONE",
+ inputs: [
+ "input-0"
+ ],
+ outputs: [
+ "result-0"
+ ]
+ }
+ ],
+ tensors: [
+ {
+ name: "input-0",
+ shape: [
+ 32
+ ],
+ type: "INT32"
+ },
+ {
+ name: "input-1",
+ shape: [
+ 1
+ ],
+ type: "INT32"
+ },
+ {
+ name: "result-0",
+ shape: [
+ 32
+ ],
+ type: "INT32"
+ }
+ ],
+ inputs: [
+ "input-0",
+ "input-1"
+ ],
+ outputs: [
+ "result-0"
+ ]
+ }
+ ]
+}