aboutsummaryrefslogtreecommitdiff
path: root/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32
diff options
context:
space:
mode:
Diffstat (limited to 'operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32')
-rw-r--r--operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/desc.json24
-rw-r--r--operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-0.json49
-rw-r--r--operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-1.json53
-rw-r--r--operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/test.json59
4 files changed, 0 insertions, 185 deletions
diff --git a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/desc.json b/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/desc.json
deleted file mode 100644
index 9b2042e49..000000000
--- a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/desc.json
+++ /dev/null
@@ -1,24 +0,0 @@
-{
- "tosa_file": "test.json",
- "ifm_name": [
- "input-0",
- "input-1"
- ],
- "ifm_file": [
- "input-0.npy",
- "input-1.npy"
- ],
- "ofm_name": [
- "result-0"
- ],
- "ofm_file": [
- "result-0.npy"
- ],
- "expected_return_code": 2,
- "expected_failure": true,
- "expected_failure_desc": "Input Dimensions do not match output",
- "profile": [
- "tosa-bi",
- "tosa-mi"
- ]
-} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-0.json b/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-0.json
deleted file mode 100644
index 4eb3cf680..000000000
--- a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-0.json
+++ /dev/null
@@ -1,49 +0,0 @@
-{
- "type": "int32",
- "data": [
- [
- -771779917,
- -73680520
- ],
- [
- 1403954429,
- -1171720373
- ],
- [
- 2046741900,
- 1685415021
- ],
- [
- 19111155,
- 1279404994
- ],
- [
- -440678290,
- 1811000513
- ],
- [
- 538063003,
- 434985441
- ],
- [
- 2052778170,
- 969354697
- ],
- [
- 1061350841,
- 1986610172
- ],
- [
- -1844342100,
- -1084756782
- ],
- [
- -342115590,
- -2107804952
- ],
- [
- -1303302000,
- 328191292
- ]
- ]
-} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-1.json b/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-1.json
deleted file mode 100644
index 0f074681c..000000000
--- a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/input-1.json
+++ /dev/null
@@ -1,53 +0,0 @@
-{
- "type": "int32",
- "data": [
- [
- -1477829378,
- 1538416785
- ],
- [
- 778584866,
- -307698684
- ],
- [
- 1033014722,
- -1158077458
- ],
- [
- -60410989,
- 1078946486
- ],
- [
- -212822853,
- 270403682
- ],
- [
- -588726459,
- 1875962312
- ],
- [
- 1926127829,
- -496360419
- ],
- [
- -943398804,
- -851697074
- ],
- [
- -1226431960,
- 109621889
- ],
- [
- 1910244815,
- 2068571785
- ],
- [
- 257811365,
- 400564503
- ],
- [
- -1586362378,
- -458076002
- ]
- ]
-} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/test.json b/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/test.json
deleted file mode 100644
index f4ff26964..000000000
--- a/operators/ew_binary/bitwise_xor/bitwise_xor_ERRORIF_DimensionMismatch_11x2_i32/test.json
+++ /dev/null
@@ -1,59 +0,0 @@
-{
- version: {
- _major: 0,
- _minor: 41,
- _patch: 0,
- _draft: true
- },
- blocks: [
- {
- name: "main",
- operators: [
- {
- op: "BITWISE_XOR",
- attribute_type: "NONE",
- inputs: [
- "input-0",
- "input-1"
- ],
- outputs: [
- "result-0"
- ]
- }
- ],
- tensors: [
- {
- name: "input-0",
- shape: [
- 11,
- 2
- ],
- type: "INT32"
- },
- {
- name: "input-1",
- shape: [
- 12,
- 2
- ],
- type: "INT32"
- },
- {
- name: "result-0",
- shape: [
- 11,
- 2
- ],
- type: "INT32"
- }
- ],
- inputs: [
- "input-0",
- "input-1"
- ],
- outputs: [
- "result-0"
- ]
- }
- ]
-}