From be13cead34e566bdd561ad3ffc3f645b460e482e Mon Sep 17 00:00:00 2001 From: Michael Tyler Date: Tue, 17 Jan 2023 11:04:14 +0000 Subject: Revert "Update CPU kernels to remove x19" This reverts commit 3c59f01c209d2732a15d97d65565ead964787a8b. Resolves: COMPMID-5817 Change-Id: Ie2443a21854a95db1e3d0cafa2121c0187a5e237 Signed-off-by: Michael Tyler Reviewed-on: https://review.mlplatform.org/c/ml/ComputeLibrary/+/8974 Comments-Addressed: Arm Jenkins Tested-by: Arm Jenkins Reviewed-by: Gian Marco Iodice Benchmark: Arm Jenkins --- .../sve_hybrid_u8u32_mmla_6x4VL/generic.cpp | 1518 ++++++++++---------- 1 file changed, 759 insertions(+), 759 deletions(-) (limited to 'src/core/NEON/kernels/arm_gemm/kernels/sve_hybrid_u8u32_mmla_6x4VL/generic.cpp') diff --git a/src/core/NEON/kernels/arm_gemm/kernels/sve_hybrid_u8u32_mmla_6x4VL/generic.cpp b/src/core/NEON/kernels/arm_gemm/kernels/sve_hybrid_u8u32_mmla_6x4VL/generic.cpp index 59f33289b4..e8bad69ccd 100644 --- a/src/core/NEON/kernels/arm_gemm/kernels/sve_hybrid_u8u32_mmla_6x4VL/generic.cpp +++ b/src/core/NEON/kernels/arm_gemm/kernels/sve_hybrid_u8u32_mmla_6x4VL/generic.cpp @@ -1,5 +1,5 @@ /* - * Copyright (c) 2021, 2023 Arm Limited. + * Copyright (c) 2021 Arm Limited. * * SPDX-License-Identifier: MIT * @@ -10,16 +10,16 @@ * sell copies of the Software, and to permit persons to whom the Software is * furnished to do so, subject to the following conditions: * - * The above copyright notice and this permission notice shall be included in all - * copies or substantial portions of the Software. + * The above copyright notice and this permission notice shall be included in + * all copies or substantial portions of the Software. * * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER - * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, - * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE - * SOFTWARE. + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING + * FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS + * IN THE SOFTWARE. */ #ifdef ARM_COMPUTE_ENABLE_SVE @@ -87,25 +87,25 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "cmp %x[M], #0x2\n" "bgt 23f\n" "beq 12f\n" - "ldr x11, [%x[args_ptr], %[offsetof_N]]\n" - "ldr x10, [%x[args_ptr], %[offsetof_B_ptr]]\n" - "mov x9, %x[output_ptr]\n" + "ldr x10, [%x[args_ptr], %[offsetof_N]]\n" + "ldr x9, [%x[args_ptr], %[offsetof_B_ptr]]\n" + "mov x28, %x[output_ptr]\n" "2:" // Height 1: Column loop - "mov x20, #0x0\n" - "whilelt p4.s, x20, x11\n" - "incw x20\n" - "whilelt p3.s, x20, x11\n" - "incw x20\n" - "whilelt p2.s, x20, x11\n" - "incw x20\n" - "whilelt p1.s, x20, x11\n" + "mov x19, #0x0\n" + "whilelt p4.s, x19, x10\n" + "incw x19\n" + "whilelt p3.s, x19, x10\n" + "incw x19\n" + "whilelt p2.s, x19, x10\n" + "incw x19\n" + "whilelt p1.s, x19, x10\n" "tbz %x[flags], #0, 3f\n" - "ld1w { z9.s }, p4/Z, [x9]\n" - "ld1w { z10.s }, p3/Z, [x9, #1, MUL VL]\n" + "ld1w { z9.s }, p4/Z, [x28]\n" "zip1 z8.d, z9.d, z12.d\n" + "ld1w { z10.s }, p3/Z, [x28, #1, MUL VL]\n" "zip2 z12.d, z9.d, z12.d\n" - "ld1w { z11.s }, p2/Z, [x9, #2, MUL VL]\n" - "ld1w { z16.s }, p1/Z, [x9, #3, MUL VL]\n" + "ld1w { z11.s }, p2/Z, [x28, #2, MUL VL]\n" + "ld1w { z16.s }, p1/Z, [x28, #3, MUL VL]\n" "zip1 z9.d, z10.d, z13.d\n" "zip2 z13.d, z10.d, z13.d\n" "zip1 z10.d, z11.d, z14.d\n" @@ -123,154 +123,154 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "mov z14.s, #0x0\n" "mov z15.s, #0x0\n" "4:" // Height 1: setup done - "mov x28, #0x0\n" + "mov x27, #0x0\n" "5:" // Height 1: String loop "ldr x20, [%x[args_ptr], %[offsetof_string_lengths]]\n" - "ldr w27, [x20, x28, LSL #0x2]\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr w26, [x20, x27, LSL #0x2]\n" "tbz %x[flags], #3, 6f\n" - "ldr x21, [%x[input_ptr], x28, LSL #0x3]\n" - "add x21, x21, x20, LSL #3\n" - "ldr x26, [x21, #0x0]\n" - "cbnz x28, 7f\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_initial_col]]\n" - "add x26, x26, x20\n" + "ldr x20, [%x[input_ptr], x27, LSL #0x3]\n" + "add x20, x20, x19, LSL #3\n" + "ldr x25, [x20, #0x0]\n" + "cbnz x27, 7f\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_initial_col]]\n" + "add x25, x25, x19\n" "b 7f\n" "6:" // Height 1: setup direct input - "mov x26, %x[input_ptr]\n" + "mov x25, %x[input_ptr]\n" "7:" // Height 1: input setup done - "cmp x27, #0x10\n" + "cmp x26, #0x10\n" "ble 9f\n" "8:" // Height 1: Multiply loop: Main loop head - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "sub x26, x26, #0x10\n" + "trn2 z1.d, z1.d, z2.d\n" + "cmp x26, #0x10\n" + "add x25, x25, #0x10\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #16\n" - "trn2 z1.d, z1.d, z2.d\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #16\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-8, MUL VL]\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-8, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-7, MUL VL]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-6, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-5, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-3, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-2, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-1, MUL VL]\n" - "sub x27, x27, #0x10\n" - "cmp x27, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #-1, MUL VL]\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" - "add x26, x26, #0x10\n" "bgt 8b\n" "9:" // Height 1: Multiply loop: Single iteration only - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "subs x26, x26, #0x8\n" + "trn2 z1.d, z1.d, z2.d\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "subs x27, x27, #0x8\n" - "trn2 z1.d, z1.d, z2.d\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" - "addvl x10, x10, #8\n" "ble 10f\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" - "addvl x10, x10, #8\n" "10:" // Height 1: Multiply loop: multiply skip - "ldr w20, [%x[args_ptr], %[offsetof_num_strings]]\n" - "add x28, x28, #0x1\n" - "cmp x28, x20\n" + "ldr w19, [%x[args_ptr], %[offsetof_num_strings]]\n" + "add x27, x27, #0x1\n" + "cmp x27, x19\n" "bne 5b\n" "uzp1 z8.d, z8.d, z12.d\n" + "st1w { z8.s }, p4, [x28]\n" "uzp1 z9.d, z9.d, z13.d\n" - "st1w { z8.s }, p4, [x9]\n" "uzp1 z10.d, z10.d, z14.d\n" + "st1w { z9.s }, p3, [x28, #1, MUL VL]\n" "uzp1 z11.d, z11.d, z15.d\n" - "st1w { z9.s }, p3, [x9, #1, MUL VL]\n" - "st1w { z10.s }, p2, [x9, #2, MUL VL]\n" - "st1w { z11.s }, p1, [x9, #3, MUL VL]\n" - "addvl x9, x9, #4\n" + "st1w { z10.s }, p2, [x28, #2, MUL VL]\n" + "st1w { z11.s }, p1, [x28, #3, MUL VL]\n" + "addvl x28, x28, #4\n" "11:" // Height 1: Writeback done - "decw x11, ALL, MUL #4\n" - "cmp x11, XZR\n" + "decw x10, ALL, MUL #4\n" + "cmp x10, XZR\n" "bgt 2b\n" "b 68f\n" "12:" // Height 2 - "ldr x11, [%x[args_ptr], %[offsetof_N]]\n" - "ldr x10, [%x[args_ptr], %[offsetof_B_ptr]]\n" - "mov x9, %x[output_ptr]\n" + "ldr x10, [%x[args_ptr], %[offsetof_N]]\n" + "mov x28, %x[output_ptr]\n" + "ldr x9, [%x[args_ptr], %[offsetof_B_ptr]]\n" "13:" // Height 2: Column loop - "mov x20, #0x0\n" - "whilelt p4.s, x20, x11\n" - "incw x20\n" - "whilelt p3.s, x20, x11\n" - "incw x20\n" - "whilelt p2.s, x20, x11\n" - "incw x20\n" - "whilelt p1.s, x20, x11\n" + "mov x19, #0x0\n" + "whilelt p4.s, x19, x10\n" + "incw x19\n" + "whilelt p3.s, x19, x10\n" + "incw x19\n" + "whilelt p2.s, x19, x10\n" + "incw x19\n" + "whilelt p1.s, x19, x10\n" "tbz %x[flags], #0, 14f\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "ld1w { z9.s }, p4/Z, [x9]\n" - "ld1w { z10.s }, p3/Z, [x9, #1, MUL VL]\n" - "ld1w { z11.s }, p2/Z, [x9, #2, MUL VL]\n" - "ld1w { z16.s }, p1/Z, [x9, #3, MUL VL]\n" - "ld1w { z12.s }, p4/Z, [x24]\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" + "ld1w { z9.s }, p4/Z, [x28]\n" + "add x23, x28, x19, LSL #2\n" + "ld1w { z10.s }, p3/Z, [x28, #1, MUL VL]\n" + "ld1w { z11.s }, p2/Z, [x28, #2, MUL VL]\n" + "ld1w { z16.s }, p1/Z, [x28, #3, MUL VL]\n" + "ld1w { z12.s }, p4/Z, [x23]\n" "zip1 z8.d, z9.d, z12.d\n" + "ld1w { z13.s }, p3/Z, [x23, #1, MUL VL]\n" "zip2 z12.d, z9.d, z12.d\n" - "ld1w { z13.s }, p3/Z, [x24, #1, MUL VL]\n" - "ld1w { z14.s }, p2/Z, [x24, #2, MUL VL]\n" + "ld1w { z14.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z15.s }, p1/Z, [x23, #3, MUL VL]\n" "zip1 z9.d, z10.d, z13.d\n" "zip2 z13.d, z10.d, z13.d\n" - "ld1w { z15.s }, p1/Z, [x24, #3, MUL VL]\n" "zip1 z10.d, z11.d, z14.d\n" "zip2 z14.d, z11.d, z14.d\n" "zip1 z11.d, z16.d, z15.d\n" @@ -286,179 +286,179 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "mov z14.s, #0x0\n" "mov z15.s, #0x0\n" "15:" // Height 2: setup done - "mov x28, #0x0\n" + "mov x27, #0x0\n" "16:" // Height 2: String loop "ldr x20, [%x[args_ptr], %[offsetof_string_lengths]]\n" - "ldr w27, [x20, x28, LSL #0x2]\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr w26, [x20, x27, LSL #0x2]\n" "tbz %x[flags], #3, 17f\n" - "ldr x21, [%x[input_ptr], x28, LSL #0x3]\n" - "add x21, x21, x20, LSL #3\n" - "ldr x26, [x21, #0x0]\n" - "ldr x25, [x21, #0x8]\n" - "cbnz x28, 18f\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_initial_col]]\n" - "add x26, x26, x20\n" - "add x25, x25, x20\n" + "ldr x20, [%x[input_ptr], x27, LSL #0x3]\n" + "add x20, x20, x19, LSL #3\n" + "ldr x25, [x20, #0x0]\n" + "ldr x24, [x20, #0x8]\n" + "cbnz x27, 18f\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_initial_col]]\n" + "add x25, x25, x19\n" + "add x24, x24, x19\n" "b 18f\n" "17:" // Height 2: setup direct input - "mov x26, %x[input_ptr]\n" - "add x25, x26, x20\n" + "mov x25, %x[input_ptr]\n" + "add x24, x25, x19\n" "18:" // Height 2: input setup done - "cmp x27, #0x10\n" + "cmp x26, #0x10\n" "ble 20f\n" "19:" // Height 2: Multiply loop: Main loop head - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "sub x26, x26, #0x10\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "cmp x26, #0x10\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "add x25, x25, #0x10\n" + "trn2 z1.d, z1.d, z2.d\n" + "add x24, x24, #0x10\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #16\n" - "trn2 z1.d, z1.d, z2.d\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #16\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-8, MUL VL]\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-8, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-7, MUL VL]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-6, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-5, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-3, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #-2, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #-1, MUL VL]\n" - "sub x27, x27, #0x10\n" - "cmp x27, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #-1, MUL VL]\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" - "add x26, x26, #0x10\n" - "add x25, x25, #0x10\n" "bgt 19b\n" "20:" // Height 2: Multiply loop: Single iteration only - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "subs x26, x26, #0x8\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "trn2 z1.d, z1.d, z2.d\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "subs x27, x27, #0x8\n" - "trn2 z1.d, z1.d, z2.d\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" - "addvl x10, x10, #8\n" "ble 21f\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" - "addvl x10, x10, #8\n" "21:" // Height 2: Multiply loop: multiply skip - "ldr w20, [%x[args_ptr], %[offsetof_num_strings]]\n" - "add x28, x28, #0x1\n" - "cmp x28, x20\n" + "ldr w19, [%x[args_ptr], %[offsetof_num_strings]]\n" + "add x27, x27, #0x1\n" + "cmp x27, x19\n" "bne 16b\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" "uzp1 z7.d, z8.d, z12.d\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" "uzp2 z8.d, z8.d, z12.d\n" + "st1w { z7.s }, p4, [x28]\n" "uzp1 z12.d, z9.d, z13.d\n" + "add x23, x28, x19, LSL #2\n" "uzp2 z9.d, z9.d, z13.d\n" - "st1w { z7.s }, p4, [x9]\n" + "st1w { z12.s }, p3, [x28, #1, MUL VL]\n" "uzp1 z13.d, z10.d, z14.d\n" "uzp2 z10.d, z10.d, z14.d\n" - "st1w { z12.s }, p3, [x9, #1, MUL VL]\n" + "st1w { z13.s }, p2, [x28, #2, MUL VL]\n" "uzp1 z14.d, z11.d, z15.d\n" "uzp2 z11.d, z11.d, z15.d\n" - "st1w { z13.s }, p2, [x9, #2, MUL VL]\n" - "st1w { z14.s }, p1, [x9, #3, MUL VL]\n" - "addvl x9, x9, #4\n" - "st1w { z8.s }, p4, [x24]\n" - "st1w { z9.s }, p3, [x24, #1, MUL VL]\n" - "st1w { z10.s }, p2, [x24, #2, MUL VL]\n" - "st1w { z11.s }, p1, [x24, #3, MUL VL]\n" + "st1w { z14.s }, p1, [x28, #3, MUL VL]\n" + "addvl x28, x28, #4\n" + "st1w { z8.s }, p4, [x23]\n" + "st1w { z9.s }, p3, [x23, #1, MUL VL]\n" + "st1w { z10.s }, p2, [x23, #2, MUL VL]\n" + "st1w { z11.s }, p1, [x23, #3, MUL VL]\n" "22:" // Height 2: Writeback done - "decw x11, ALL, MUL #4\n" - "cmp x11, XZR\n" + "decw x10, ALL, MUL #4\n" + "cmp x10, XZR\n" "bgt 13b\n" "b 68f\n" "23:" // Height 3 - "ldr x11, [%x[args_ptr], %[offsetof_N]]\n" - "ldr x10, [%x[args_ptr], %[offsetof_B_ptr]]\n" - "mov x9, %x[output_ptr]\n" + "ldr x10, [%x[args_ptr], %[offsetof_N]]\n" + "mov x28, %x[output_ptr]\n" + "ldr x9, [%x[args_ptr], %[offsetof_B_ptr]]\n" "24:" // Height 3: Column loop - "mov x20, #0x0\n" - "whilelt p4.s, x20, x11\n" - "incw x20\n" - "whilelt p3.s, x20, x11\n" - "incw x20\n" - "whilelt p2.s, x20, x11\n" - "incw x20\n" - "whilelt p1.s, x20, x11\n" + "mov x19, #0x0\n" + "whilelt p4.s, x19, x10\n" + "incw x19\n" + "whilelt p3.s, x19, x10\n" + "incw x19\n" + "whilelt p2.s, x19, x10\n" + "incw x19\n" + "whilelt p1.s, x19, x10\n" "tbz %x[flags], #0, 25f\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" - "ld1w { z9.s }, p4/Z, [x9]\n" - "ld1w { z10.s }, p3/Z, [x9, #1, MUL VL]\n" - "ld1w { z11.s }, p2/Z, [x9, #2, MUL VL]\n" - "ld1w { z16.s }, p1/Z, [x9, #3, MUL VL]\n" - "ld1w { z12.s }, p4/Z, [x24]\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" + "ld1w { z9.s }, p4/Z, [x28]\n" + "add x23, x28, x19, LSL #2\n" + "ld1w { z10.s }, p3/Z, [x28, #1, MUL VL]\n" + "ld1w { z11.s }, p2/Z, [x28, #2, MUL VL]\n" + "add x22, x23, x19, LSL #2\n" + "ld1w { z16.s }, p1/Z, [x28, #3, MUL VL]\n" + "ld1w { z12.s }, p4/Z, [x23]\n" "zip1 z8.d, z9.d, z12.d\n" + "ld1w { z13.s }, p3/Z, [x23, #1, MUL VL]\n" "zip2 z12.d, z9.d, z12.d\n" - "ld1w { z13.s }, p3/Z, [x24, #1, MUL VL]\n" - "ld1w { z14.s }, p2/Z, [x24, #2, MUL VL]\n" + "ld1w { z14.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z15.s }, p1/Z, [x23, #3, MUL VL]\n" "zip1 z9.d, z10.d, z13.d\n" + "ld1w { z17.s }, p4/Z, [x22]\n" "zip2 z13.d, z10.d, z13.d\n" - "ld1w { z15.s }, p1/Z, [x24, #3, MUL VL]\n" - "ld1w { z17.s }, p4/Z, [x23]\n" + "ld1w { z18.s }, p3/Z, [x22, #1, MUL VL]\n" "zip1 z10.d, z11.d, z14.d\n" + "ld1w { z19.s }, p2/Z, [x22, #2, MUL VL]\n" "zip2 z14.d, z11.d, z14.d\n" - "ld1w { z18.s }, p3/Z, [x23, #1, MUL VL]\n" - "ld1w { z19.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z24.s }, p1/Z, [x22, #3, MUL VL]\n" "zip1 z11.d, z16.d, z15.d\n" "zip2 z15.d, z16.d, z15.d\n" - "ld1w { z24.s }, p1/Z, [x23, #3, MUL VL]\n" "zip1 z16.d, z17.d, z20.d\n" "zip2 z20.d, z17.d, z20.d\n" "zip1 z17.d, z18.d, z21.d\n" @@ -486,239 +486,239 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "mov z22.s, #0x0\n" "mov z23.s, #0x0\n" "26:" // Height 3: setup done - "mov x28, #0x0\n" + "mov x27, #0x0\n" "27:" // Height 3: String loop "ldr x20, [%x[args_ptr], %[offsetof_string_lengths]]\n" - "ldr w27, [x20, x28, LSL #0x2]\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr w26, [x20, x27, LSL #0x2]\n" "tbz %x[flags], #3, 28f\n" - "ldr x21, [%x[input_ptr], x28, LSL #0x3]\n" - "add x21, x21, x20, LSL #3\n" - "ldr x26, [x21, #0x0]\n" - "ldr x25, [x21, #0x8]\n" - "ldr x24, [x21, #0x10]\n" - "cbnz x28, 29f\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_initial_col]]\n" - "add x26, x26, x20\n" - "add x25, x25, x20\n" - "add x24, x24, x20\n" + "ldr x20, [%x[input_ptr], x27, LSL #0x3]\n" + "add x20, x20, x19, LSL #3\n" + "ldr x25, [x20, #0x0]\n" + "ldr x24, [x20, #0x8]\n" + "ldr x23, [x20, #0x10]\n" + "cbnz x27, 29f\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_initial_col]]\n" + "add x25, x25, x19\n" + "add x24, x24, x19\n" + "add x23, x23, x19\n" "b 29f\n" "28:" // Height 3: setup direct input - "mov x26, %x[input_ptr]\n" - "add x25, x26, x20\n" - "add x24, x25, x20\n" + "mov x25, %x[input_ptr]\n" + "add x24, x25, x19\n" + "add x23, x24, x19\n" "29:" // Height 3: input setup done - "cmp x27, #0x10\n" + "cmp x26, #0x10\n" "ble 31f\n" "30:" // Height 3: Multiply loop: Main loop head - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "sub x26, x26, #0x10\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" + "cmp x26, #0x10\n" "trn2 z1.d, z1.d, z2.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "trn1 z2.d, z3.d, z4.d\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "add x25, x25, #0x10\n" + "add x24, x24, #0x10\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" - ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" + "add x23, x23, #0x10\n" + "trn1 z2.d, z3.d, z4.d\n" + "trn2 z3.d, z3.d, z4.d\n" + ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "trn2 z3.d, z3.d, z4.d\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" - "sub x27, x27, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "cmp x27, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #16\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #16\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-8, MUL VL]\n" - "add x26, x26, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #-8, MUL VL]\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-7, MUL VL]\n" - "add x25, x25, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #-7, MUL VL]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-6, MUL VL]\n" - "add x24, x24, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #-6, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-5, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-3, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-2, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-2, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-1, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-1, MUL VL]\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" ".inst 0x45c69877 // ummla z23.s, z3.b, z6.b\n" "bgt 30b\n" "31:" // Height 3: Multiply loop: Single iteration only - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "subs x26, x26, #0x8\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" "trn2 z1.d, z1.d, z2.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "trn1 z2.d, z3.d, z4.d\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" - ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" + "trn1 z2.d, z3.d, z4.d\n" + "trn2 z3.d, z3.d, z4.d\n" + ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "subs x27, x27, #0x8\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" - "trn2 z3.d, z3.d, z4.d\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #8\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" "ble 32f\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #8\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" ".inst 0x45c69877 // ummla z23.s, z3.b, z6.b\n" "32:" // Height 3: Multiply loop: multiply skip - "ldr w20, [%x[args_ptr], %[offsetof_num_strings]]\n" - "add x28, x28, #0x1\n" - "cmp x28, x20\n" + "ldr w19, [%x[args_ptr], %[offsetof_num_strings]]\n" + "add x27, x27, #0x1\n" + "cmp x27, x19\n" "bne 27b\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" "uzp1 z7.d, z8.d, z12.d\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" "uzp2 z8.d, z8.d, z12.d\n" + "st1w { z7.s }, p4, [x28]\n" "uzp1 z12.d, z9.d, z13.d\n" - "st1w { z7.s }, p4, [x9]\n" + "add x23, x28, x19, LSL #2\n" "uzp2 z9.d, z9.d, z13.d\n" + "st1w { z12.s }, p3, [x28, #1, MUL VL]\n" "uzp1 z13.d, z10.d, z14.d\n" - "st1w { z12.s }, p3, [x9, #1, MUL VL]\n" + "add x22, x23, x19, LSL #2\n" "uzp2 z10.d, z10.d, z14.d\n" + "st1w { z13.s }, p2, [x28, #2, MUL VL]\n" "uzp1 z14.d, z11.d, z15.d\n" - "st1w { z13.s }, p2, [x9, #2, MUL VL]\n" "uzp2 z11.d, z11.d, z15.d\n" + "st1w { z14.s }, p1, [x28, #3, MUL VL]\n" "uzp1 z16.d, z16.d, z20.d\n" - "st1w { z14.s }, p1, [x9, #3, MUL VL]\n" - "addvl x9, x9, #4\n" + "addvl x28, x28, #4\n" "uzp1 z17.d, z17.d, z21.d\n" + "st1w { z8.s }, p4, [x23]\n" "uzp1 z18.d, z18.d, z22.d\n" - "st1w { z8.s }, p4, [x24]\n" + "st1w { z9.s }, p3, [x23, #1, MUL VL]\n" "uzp1 z19.d, z19.d, z23.d\n" - "st1w { z9.s }, p3, [x24, #1, MUL VL]\n" - "st1w { z10.s }, p2, [x24, #2, MUL VL]\n" - "st1w { z11.s }, p1, [x24, #3, MUL VL]\n" - "st1w { z16.s }, p4, [x23]\n" - "st1w { z17.s }, p3, [x23, #1, MUL VL]\n" - "st1w { z18.s }, p2, [x23, #2, MUL VL]\n" - "st1w { z19.s }, p1, [x23, #3, MUL VL]\n" + "st1w { z10.s }, p2, [x23, #2, MUL VL]\n" + "st1w { z11.s }, p1, [x23, #3, MUL VL]\n" + "st1w { z16.s }, p4, [x22]\n" + "st1w { z17.s }, p3, [x22, #1, MUL VL]\n" + "st1w { z18.s }, p2, [x22, #2, MUL VL]\n" + "st1w { z19.s }, p1, [x22, #3, MUL VL]\n" "33:" // Height 3: Writeback done - "decw x11, ALL, MUL #4\n" - "cmp x11, XZR\n" + "decw x10, ALL, MUL #4\n" + "cmp x10, XZR\n" "bgt 24b\n" "b 68f\n" "34:" // Height 4 - "ldr x11, [%x[args_ptr], %[offsetof_N]]\n" - "ldr x10, [%x[args_ptr], %[offsetof_B_ptr]]\n" - "mov x9, %x[output_ptr]\n" + "ldr x10, [%x[args_ptr], %[offsetof_N]]\n" + "mov x28, %x[output_ptr]\n" + "ldr x9, [%x[args_ptr], %[offsetof_B_ptr]]\n" "35:" // Height 4: Column loop - "mov x20, #0x0\n" - "whilelt p4.s, x20, x11\n" - "incw x20\n" - "whilelt p3.s, x20, x11\n" - "incw x20\n" - "whilelt p2.s, x20, x11\n" - "incw x20\n" - "whilelt p1.s, x20, x11\n" + "mov x19, #0x0\n" + "whilelt p4.s, x19, x10\n" + "incw x19\n" + "whilelt p3.s, x19, x10\n" + "incw x19\n" + "whilelt p2.s, x19, x10\n" + "incw x19\n" + "whilelt p1.s, x19, x10\n" "tbz %x[flags], #0, 36f\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" - "ld1w { z9.s }, p4/Z, [x9]\n" - "add x22, x23, x20, LSL #2\n" - "ld1w { z10.s }, p3/Z, [x9, #1, MUL VL]\n" - "ld1w { z11.s }, p2/Z, [x9, #2, MUL VL]\n" - "ld1w { z16.s }, p1/Z, [x9, #3, MUL VL]\n" - "ld1w { z12.s }, p4/Z, [x24]\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" + "ld1w { z9.s }, p4/Z, [x28]\n" + "add x23, x28, x19, LSL #2\n" + "ld1w { z10.s }, p3/Z, [x28, #1, MUL VL]\n" + "ld1w { z11.s }, p2/Z, [x28, #2, MUL VL]\n" + "add x22, x23, x19, LSL #2\n" + "ld1w { z16.s }, p1/Z, [x28, #3, MUL VL]\n" + "add x21, x22, x19, LSL #2\n" + "ld1w { z12.s }, p4/Z, [x23]\n" "zip1 z8.d, z9.d, z12.d\n" + "ld1w { z13.s }, p3/Z, [x23, #1, MUL VL]\n" "zip2 z12.d, z9.d, z12.d\n" - "ld1w { z13.s }, p3/Z, [x24, #1, MUL VL]\n" - "ld1w { z14.s }, p2/Z, [x24, #2, MUL VL]\n" + "ld1w { z14.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z15.s }, p1/Z, [x23, #3, MUL VL]\n" "zip1 z9.d, z10.d, z13.d\n" + "ld1w { z17.s }, p4/Z, [x22]\n" "zip2 z13.d, z10.d, z13.d\n" - "ld1w { z15.s }, p1/Z, [x24, #3, MUL VL]\n" - "ld1w { z17.s }, p4/Z, [x23]\n" + "ld1w { z18.s }, p3/Z, [x22, #1, MUL VL]\n" "zip1 z10.d, z11.d, z14.d\n" + "ld1w { z19.s }, p2/Z, [x22, #2, MUL VL]\n" "zip2 z14.d, z11.d, z14.d\n" - "ld1w { z18.s }, p3/Z, [x23, #1, MUL VL]\n" - "ld1w { z19.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z24.s }, p1/Z, [x22, #3, MUL VL]\n" "zip1 z11.d, z16.d, z15.d\n" + "ld1w { z20.s }, p4/Z, [x21]\n" "zip2 z15.d, z16.d, z15.d\n" - "ld1w { z24.s }, p1/Z, [x23, #3, MUL VL]\n" - "ld1w { z20.s }, p4/Z, [x22]\n" + "ld1w { z21.s }, p3/Z, [x21, #1, MUL VL]\n" + "ld1w { z22.s }, p2/Z, [x21, #2, MUL VL]\n" "zip1 z16.d, z17.d, z20.d\n" + "ld1w { z23.s }, p1/Z, [x21, #3, MUL VL]\n" "zip2 z20.d, z17.d, z20.d\n" - "ld1w { z21.s }, p3/Z, [x22, #1, MUL VL]\n" - "ld1w { z22.s }, p2/Z, [x22, #2, MUL VL]\n" "zip1 z17.d, z18.d, z21.d\n" "zip2 z21.d, z18.d, z21.d\n" - "ld1w { z23.s }, p1/Z, [x22, #3, MUL VL]\n" "zip1 z18.d, z19.d, z22.d\n" "zip2 z22.d, z19.d, z22.d\n" "zip1 z19.d, z24.d, z23.d\n" @@ -742,263 +742,263 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "mov z22.s, #0x0\n" "mov z23.s, #0x0\n" "37:" // Height 4: setup done - "mov x28, #0x0\n" + "mov x27, #0x0\n" "38:" // Height 4: String loop "ldr x20, [%x[args_ptr], %[offsetof_string_lengths]]\n" - "ldr w27, [x20, x28, LSL #0x2]\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr w26, [x20, x27, LSL #0x2]\n" "tbz %x[flags], #3, 39f\n" - "ldr x21, [%x[input_ptr], x28, LSL #0x3]\n" - "add x21, x21, x20, LSL #3\n" - "ldr x26, [x21, #0x0]\n" - "ldr x25, [x21, #0x8]\n" - "ldr x24, [x21, #0x10]\n" - "ldr x23, [x21, #0x18]\n" - "cbnz x28, 40f\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_initial_col]]\n" - "add x26, x26, x20\n" - "add x25, x25, x20\n" - "add x24, x24, x20\n" - "add x23, x23, x20\n" + "ldr x20, [%x[input_ptr], x27, LSL #0x3]\n" + "add x20, x20, x19, LSL #3\n" + "ldr x25, [x20, #0x0]\n" + "ldr x24, [x20, #0x8]\n" + "ldr x23, [x20, #0x10]\n" + "ldr x22, [x20, #0x18]\n" + "cbnz x27, 40f\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_initial_col]]\n" + "add x25, x25, x19\n" + "add x24, x24, x19\n" + "add x23, x23, x19\n" + "add x22, x22, x19\n" "b 40f\n" "39:" // Height 4: setup direct input - "mov x26, %x[input_ptr]\n" - "add x25, x26, x20\n" - "add x24, x25, x20\n" - "add x23, x24, x20\n" + "mov x25, %x[input_ptr]\n" + "add x24, x25, x19\n" + "add x23, x24, x19\n" + "add x22, x23, x19\n" "40:" // Height 4: input setup done - "cmp x27, #0x10\n" + "cmp x26, #0x10\n" "ble 42f\n" "41:" // Height 4: Multiply loop: Main loop head - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "sub x26, x26, #0x10\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "cmp x26, #0x10\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" - "ld1rqb { z4.b }, p0/Z, [x23]\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" + "add x25, x25, #0x10\n" "trn2 z1.d, z1.d, z2.d\n" - "trn1 z2.d, z3.d, z4.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1rqb { z4.b }, p0/Z, [x22]\n" + "add x24, x24, #0x10\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" - ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "add x23, x23, #0x10\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" + "add x22, x22, #0x10\n" + "trn1 z2.d, z3.d, z4.d\n" + "trn2 z3.d, z3.d, z4.d\n" + ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "trn2 z3.d, z3.d, z4.d\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" - "sub x27, x27, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" - "cmp x27, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #16\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #16\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-8, MUL VL]\n" - "add x26, x26, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #-8, MUL VL]\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-7, MUL VL]\n" - "add x25, x25, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #-7, MUL VL]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-6, MUL VL]\n" - "add x24, x24, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #-6, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-5, MUL VL]\n" - "add x23, x23, #0x10\n" + "ld1b { z6.b }, p5/Z, [x9, #-5, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-3, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-2, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-2, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-1, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-1, MUL VL]\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" ".inst 0x45c69877 // ummla z23.s, z3.b, z6.b\n" "bgt 41b\n" "42:" // Height 4: Multiply loop: Single iteration only - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "subs x26, x26, #0x8\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" - "ld1rqb { z4.b }, p0/Z, [x23]\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" "trn2 z1.d, z1.d, z2.d\n" - "trn1 z2.d, z3.d, z4.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1rqb { z4.b }, p0/Z, [x22]\n" ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" - ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" + "trn1 z2.d, z3.d, z4.d\n" + "trn2 z3.d, z3.d, z4.d\n" + ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "subs x27, x27, #0x8\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" - "trn2 z3.d, z3.d, z4.d\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #8\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" "ble 43f\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #8\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c6982f // ummla z15.s, z1.b, z6.b\n" ".inst 0x45c69877 // ummla z23.s, z3.b, z6.b\n" "43:" // Height 4: Multiply loop: multiply skip - "ldr w20, [%x[args_ptr], %[offsetof_num_strings]]\n" - "add x28, x28, #0x1\n" - "cmp x28, x20\n" + "ldr w19, [%x[args_ptr], %[offsetof_num_strings]]\n" + "add x27, x27, #0x1\n" + "cmp x27, x19\n" "bne 38b\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" "uzp1 z7.d, z8.d, z12.d\n" - "add x22, x23, x20, LSL #2\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" "uzp2 z8.d, z8.d, z12.d\n" + "st1w { z7.s }, p4, [x28]\n" "uzp1 z12.d, z9.d, z13.d\n" - "st1w { z7.s }, p4, [x9]\n" + "add x23, x28, x19, LSL #2\n" "uzp2 z9.d, z9.d, z13.d\n" + "st1w { z12.s }, p3, [x28, #1, MUL VL]\n" "uzp1 z13.d, z10.d, z14.d\n" - "st1w { z12.s }, p3, [x9, #1, MUL VL]\n" + "add x22, x23, x19, LSL #2\n" "uzp2 z10.d, z10.d, z14.d\n" + "st1w { z13.s }, p2, [x28, #2, MUL VL]\n" "uzp1 z14.d, z11.d, z15.d\n" - "st1w { z13.s }, p2, [x9, #2, MUL VL]\n" + "add x21, x22, x19, LSL #2\n" "uzp2 z11.d, z11.d, z15.d\n" + "st1w { z14.s }, p1, [x28, #3, MUL VL]\n" "uzp1 z15.d, z16.d, z20.d\n" - "st1w { z14.s }, p1, [x9, #3, MUL VL]\n" - "addvl x9, x9, #4\n" + "addvl x28, x28, #4\n" "uzp2 z16.d, z16.d, z20.d\n" + "st1w { z8.s }, p4, [x23]\n" "uzp1 z20.d, z17.d, z21.d\n" - "st1w { z8.s }, p4, [x24]\n" + "st1w { z9.s }, p3, [x23, #1, MUL VL]\n" "uzp2 z17.d, z17.d, z21.d\n" + "st1w { z10.s }, p2, [x23, #2, MUL VL]\n" "uzp1 z21.d, z18.d, z22.d\n" - "st1w { z9.s }, p3, [x24, #1, MUL VL]\n" + "st1w { z11.s }, p1, [x23, #3, MUL VL]\n" "uzp2 z18.d, z18.d, z22.d\n" + "st1w { z15.s }, p4, [x22]\n" "uzp1 z22.d, z19.d, z23.d\n" - "st1w { z10.s }, p2, [x24, #2, MUL VL]\n" + "st1w { z20.s }, p3, [x22, #1, MUL VL]\n" "uzp2 z19.d, z19.d, z23.d\n" - "st1w { z11.s }, p1, [x24, #3, MUL VL]\n" - "st1w { z15.s }, p4, [x23]\n" - "st1w { z20.s }, p3, [x23, #1, MUL VL]\n" - "st1w { z21.s }, p2, [x23, #2, MUL VL]\n" - "st1w { z22.s }, p1, [x23, #3, MUL VL]\n" - "st1w { z16.s }, p4, [x22]\n" - "st1w { z17.s }, p3, [x22, #1, MUL VL]\n" - "st1w { z18.s }, p2, [x22, #2, MUL VL]\n" - "st1w { z19.s }, p1, [x22, #3, MUL VL]\n" + "st1w { z21.s }, p2, [x22, #2, MUL VL]\n" + "st1w { z22.s }, p1, [x22, #3, MUL VL]\n" + "st1w { z16.s }, p4, [x21]\n" + "st1w { z17.s }, p3, [x21, #1, MUL VL]\n" + "st1w { z18.s }, p2, [x21, #2, MUL VL]\n" + "st1w { z19.s }, p1, [x21, #3, MUL VL]\n" "44:" // Height 4: Writeback done - "decw x11, ALL, MUL #4\n" - "cmp x11, XZR\n" + "decw x10, ALL, MUL #4\n" + "cmp x10, XZR\n" "bgt 35b\n" "b 68f\n" "45:" // Height 5 - "ldr x11, [%x[args_ptr], %[offsetof_N]]\n" - "ldr x10, [%x[args_ptr], %[offsetof_B_ptr]]\n" - "mov x9, %x[output_ptr]\n" + "ldr x10, [%x[args_ptr], %[offsetof_N]]\n" + "mov x28, %x[output_ptr]\n" + "ldr x9, [%x[args_ptr], %[offsetof_B_ptr]]\n" "46:" // Height 5: Column loop - "mov x20, #0x0\n" - "whilelt p4.s, x20, x11\n" - "incw x20\n" - "whilelt p3.s, x20, x11\n" - "incw x20\n" - "whilelt p2.s, x20, x11\n" - "incw x20\n" - "whilelt p1.s, x20, x11\n" + "mov x19, #0x0\n" + "whilelt p4.s, x19, x10\n" + "incw x19\n" + "whilelt p3.s, x19, x10\n" + "incw x19\n" + "whilelt p2.s, x19, x10\n" + "incw x19\n" + "whilelt p1.s, x19, x10\n" "tbz %x[flags], #0, 47f\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" - "ld1w { z9.s }, p4/Z, [x9]\n" - "add x22, x23, x20, LSL #2\n" - "add x21, x22, x20, LSL #2\n" - "ld1w { z10.s }, p3/Z, [x9, #1, MUL VL]\n" - "ld1w { z11.s }, p2/Z, [x9, #2, MUL VL]\n" - "ld1w { z16.s }, p1/Z, [x9, #3, MUL VL]\n" - "ld1w { z12.s }, p4/Z, [x24]\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" + "ld1w { z9.s }, p4/Z, [x28]\n" + "add x23, x28, x19, LSL #2\n" + "ld1w { z10.s }, p3/Z, [x28, #1, MUL VL]\n" + "ld1w { z11.s }, p2/Z, [x28, #2, MUL VL]\n" + "add x22, x23, x19, LSL #2\n" + "ld1w { z16.s }, p1/Z, [x28, #3, MUL VL]\n" + "add x21, x22, x19, LSL #2\n" + "ld1w { z12.s }, p4/Z, [x23]\n" "zip1 z8.d, z9.d, z12.d\n" + "ld1w { z13.s }, p3/Z, [x23, #1, MUL VL]\n" + "add x20, x21, x19, LSL #2\n" "zip2 z12.d, z9.d, z12.d\n" - "ld1w { z13.s }, p3/Z, [x24, #1, MUL VL]\n" - "ld1w { z14.s }, p2/Z, [x24, #2, MUL VL]\n" + "ld1w { z14.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z15.s }, p1/Z, [x23, #3, MUL VL]\n" "zip1 z9.d, z10.d, z13.d\n" + "ld1w { z17.s }, p4/Z, [x22]\n" "zip2 z13.d, z10.d, z13.d\n" - "ld1w { z15.s }, p1/Z, [x24, #3, MUL VL]\n" - "ld1w { z17.s }, p4/Z, [x23]\n" + "ld1w { z18.s }, p3/Z, [x22, #1, MUL VL]\n" "zip1 z10.d, z11.d, z14.d\n" + "ld1w { z19.s }, p2/Z, [x22, #2, MUL VL]\n" "zip2 z14.d, z11.d, z14.d\n" - "ld1w { z18.s }, p3/Z, [x23, #1, MUL VL]\n" - "ld1w { z19.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z24.s }, p1/Z, [x22, #3, MUL VL]\n" "zip1 z11.d, z16.d, z15.d\n" + "ld1w { z20.s }, p4/Z, [x21]\n" "zip2 z15.d, z16.d, z15.d\n" - "ld1w { z24.s }, p1/Z, [x23, #3, MUL VL]\n" - "ld1w { z20.s }, p4/Z, [x22]\n" + "ld1w { z21.s }, p3/Z, [x21, #1, MUL VL]\n" + "ld1w { z22.s }, p2/Z, [x21, #2, MUL VL]\n" "zip1 z16.d, z17.d, z20.d\n" + "ld1w { z23.s }, p1/Z, [x21, #3, MUL VL]\n" "zip2 z20.d, z17.d, z20.d\n" - "ld1w { z21.s }, p3/Z, [x22, #1, MUL VL]\n" - "ld1w { z22.s }, p2/Z, [x22, #2, MUL VL]\n" + "ld1w { z25.s }, p4/Z, [x20]\n" "zip1 z17.d, z18.d, z21.d\n" + "ld1w { z26.s }, p3/Z, [x20, #1, MUL VL]\n" "zip2 z21.d, z18.d, z21.d\n" - "ld1w { z23.s }, p1/Z, [x22, #3, MUL VL]\n" - "ld1w { z25.s }, p4/Z, [x21]\n" + "ld1w { z27.s }, p2/Z, [x20, #2, MUL VL]\n" "zip1 z18.d, z19.d, z22.d\n" + "ld1w { z6.s }, p1/Z, [x20, #3, MUL VL]\n" "zip2 z22.d, z19.d, z22.d\n" - "ld1w { z26.s }, p3/Z, [x21, #1, MUL VL]\n" - "ld1w { z27.s }, p2/Z, [x21, #2, MUL VL]\n" "zip1 z19.d, z24.d, z23.d\n" "zip2 z23.d, z24.d, z23.d\n" - "ld1w { z6.s }, p1/Z, [x21, #3, MUL VL]\n" "zip1 z24.d, z25.d, z28.d\n" "zip2 z28.d, z25.d, z28.d\n" "zip1 z25.d, z26.d, z29.d\n" @@ -1034,115 +1034,115 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "mov z30.s, #0x0\n" "mov z31.s, #0x0\n" "48:" // Height 5: setup done - "mov x28, #0x0\n" + "mov x27, #0x0\n" "49:" // Height 5: String loop "ldr x20, [%x[args_ptr], %[offsetof_string_lengths]]\n" - "ldr w27, [x20, x28, LSL #0x2]\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr w26, [x20, x27, LSL #0x2]\n" "tbz %x[flags], #3, 50f\n" - "ldr x21, [%x[input_ptr], x28, LSL #0x3]\n" - "add x21, x21, x20, LSL #3\n" - "ldr x26, [x21, #0x0]\n" - "ldr x25, [x21, #0x8]\n" - "ldr x24, [x21, #0x10]\n" - "ldr x23, [x21, #0x18]\n" - "ldr x22, [x21, #0x20]\n" - "cbnz x28, 51f\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_initial_col]]\n" - "add x26, x26, x20\n" - "add x25, x25, x20\n" - "add x24, x24, x20\n" - "add x23, x23, x20\n" - "add x22, x22, x20\n" + "ldr x20, [%x[input_ptr], x27, LSL #0x3]\n" + "add x20, x20, x19, LSL #3\n" + "ldr x25, [x20, #0x0]\n" + "ldr x24, [x20, #0x8]\n" + "ldr x23, [x20, #0x10]\n" + "ldr x22, [x20, #0x18]\n" + "ldr x21, [x20, #0x20]\n" + "cbnz x27, 51f\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_initial_col]]\n" + "add x25, x25, x19\n" + "add x24, x24, x19\n" + "add x23, x23, x19\n" + "add x22, x22, x19\n" + "add x21, x21, x19\n" "b 51f\n" "50:" // Height 5: setup direct input - "mov x26, %x[input_ptr]\n" - "add x25, x26, x20\n" - "add x24, x25, x20\n" - "add x23, x24, x20\n" - "add x22, x23, x20\n" + "mov x25, %x[input_ptr]\n" + "add x24, x25, x19\n" + "add x23, x24, x19\n" + "add x22, x23, x19\n" + "add x21, x22, x19\n" "51:" // Height 5: input setup done - "cmp x27, #0x10\n" + "cmp x26, #0x10\n" "ble 53f\n" "52:" // Height 5: Multiply loop: Main loop head - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" - "ld1rqb { z4.b }, p0/Z, [x23]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" + "sub x26, x26, #0x10\n" "trn2 z1.d, z1.d, z2.d\n" - "ld1rqb { z5.b }, p0/Z, [x22]\n" + "ld1rqb { z4.b }, p0/Z, [x22]\n" + "cmp x26, #0x10\n" + ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1rqb { z5.b }, p0/Z, [x21]\n" + "add x25, x25, #0x10\n" "trn1 z2.d, z3.d, z4.d\n" + "add x24, x24, #0x10\n" "trn2 z3.d, z3.d, z4.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" + "add x23, x23, #0x10\n" "trn1 z4.d, z5.d, z6.d\n" + "add x22, x22, #0x10\n" "trn2 z5.d, z5.d, z6.d\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" - ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" + "add x21, x21, #0x10\n" ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" ".inst 0x45c79898 // ummla z24.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "sub x27, x27, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" - "cmp x27, #0x10\n" - "add x26, x26, #0x10\n" ".inst 0x45c6989c // ummla z28.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" - "add x25, x25, #0x10\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" ".inst 0x45c79899 // ummla z25.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "add x24, x24, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" - "add x23, x23, #0x10\n" - "add x22, x22, #0x10\n" ".inst 0x45c6989d // ummla z29.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" ".inst 0x45c7989a // ummla z26.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" ".inst 0x45c6989e // ummla z30.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #16\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #16\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" ".inst 0x45c7989b // ummla z27.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-8, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-8, MUL VL]\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" ".inst 0x45c6989f // ummla z31.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-7, MUL VL]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" ".inst 0x45c798b8 // ummla z24.s, z5.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-6, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" ".inst 0x45c698bc // ummla z28.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-5, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" ".inst 0x45c798b9 // ummla z25.s, z5.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" ".inst 0x45c698bd // ummla z29.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-3, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" ".inst 0x45c798ba // ummla z26.s, z5.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-2, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-2, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" ".inst 0x45c698be // ummla z30.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-1, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-1, MUL VL]\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c798bb // ummla z27.s, z5.b, z7.b\n" @@ -1151,80 +1151,80 @@ void sve_hybrid_u8u32_mmla_6x4VL ( ".inst 0x45c698bf // ummla z31.s, z5.b, z6.b\n" "bgt 52b\n" "53:" // Height 5: Multiply loop: Single iteration only - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" - "ld1rqb { z4.b }, p0/Z, [x23]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" + "subs x26, x26, #0x8\n" "trn2 z1.d, z1.d, z2.d\n" - "ld1rqb { z5.b }, p0/Z, [x22]\n" + "ld1rqb { z4.b }, p0/Z, [x22]\n" + "ld1rqb { z5.b }, p0/Z, [x21]\n" + ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" "trn1 z2.d, z3.d, z4.d\n" "trn2 z3.d, z3.d, z4.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" "trn1 z4.d, z5.d, z6.d\n" "trn2 z5.d, z5.d, z6.d\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" - ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" ".inst 0x45c79898 // ummla z24.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "subs x27, x27, #0x8\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" ".inst 0x45c6989c // ummla z28.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" ".inst 0x45c79899 // ummla z25.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" ".inst 0x45c6989d // ummla z29.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" ".inst 0x45c7989a // ummla z26.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" ".inst 0x45c6989e // ummla z30.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" - "addvl x10, x10, #8\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" ".inst 0x45c7989b // ummla z27.s, z4.b, z7.b\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" ".inst 0x45c6989f // ummla z31.s, z4.b, z6.b\n" "ble 54f\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" ".inst 0x45c798b8 // ummla z24.s, z5.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" ".inst 0x45c698bc // ummla z28.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" ".inst 0x45c798b9 // ummla z25.s, z5.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" ".inst 0x45c698bd // ummla z29.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" ".inst 0x45c798ba // ummla z26.s, z5.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" ".inst 0x45c698be // ummla z30.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #8\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c798bb // ummla z27.s, z5.b, z7.b\n" @@ -1232,127 +1232,127 @@ void sve_hybrid_u8u32_mmla_6x4VL ( ".inst 0x45c69877 // ummla z23.s, z3.b, z6.b\n" ".inst 0x45c698bf // ummla z31.s, z5.b, z6.b\n" "54:" // Height 5: Multiply loop: multiply skip - "ldr w20, [%x[args_ptr], %[offsetof_num_strings]]\n" - "add x28, x28, #0x1\n" - "cmp x28, x20\n" + "ldr w19, [%x[args_ptr], %[offsetof_num_strings]]\n" + "add x27, x27, #0x1\n" + "cmp x27, x19\n" "bne 49b\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" "uzp1 z7.d, z8.d, z12.d\n" - "add x22, x23, x20, LSL #2\n" - "add x21, x22, x20, LSL #2\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" "uzp2 z8.d, z8.d, z12.d\n" + "st1w { z7.s }, p4, [x28]\n" "uzp1 z12.d, z9.d, z13.d\n" + "add x23, x28, x19, LSL #2\n" "uzp2 z9.d, z9.d, z13.d\n" + "st1w { z12.s }, p3, [x28, #1, MUL VL]\n" "uzp1 z13.d, z10.d, z14.d\n" - "st1w { z7.s }, p4, [x9]\n" + "add x22, x23, x19, LSL #2\n" "uzp2 z10.d, z10.d, z14.d\n" + "st1w { z13.s }, p2, [x28, #2, MUL VL]\n" "uzp1 z14.d, z11.d, z15.d\n" - "st1w { z12.s }, p3, [x9, #1, MUL VL]\n" + "add x21, x22, x19, LSL #2\n" "uzp2 z11.d, z11.d, z15.d\n" + "st1w { z14.s }, p1, [x28, #3, MUL VL]\n" "uzp1 z15.d, z16.d, z20.d\n" - "st1w { z13.s }, p2, [x9, #2, MUL VL]\n" + "add x20, x21, x19, LSL #2\n" "uzp2 z16.d, z16.d, z20.d\n" + "st1w { z8.s }, p4, [x23]\n" + "addvl x28, x28, #4\n" "uzp1 z20.d, z17.d, z21.d\n" - "st1w { z14.s }, p1, [x9, #3, MUL VL]\n" - "addvl x9, x9, #4\n" + "st1w { z9.s }, p3, [x23, #1, MUL VL]\n" "uzp2 z17.d, z17.d, z21.d\n" + "st1w { z10.s }, p2, [x23, #2, MUL VL]\n" "uzp1 z21.d, z18.d, z22.d\n" - "st1w { z8.s }, p4, [x24]\n" + "st1w { z11.s }, p1, [x23, #3, MUL VL]\n" "uzp2 z18.d, z18.d, z22.d\n" + "st1w { z15.s }, p4, [x22]\n" "uzp1 z22.d, z19.d, z23.d\n" - "st1w { z9.s }, p3, [x24, #1, MUL VL]\n" + "st1w { z20.s }, p3, [x22, #1, MUL VL]\n" "uzp2 z19.d, z19.d, z23.d\n" + "st1w { z21.s }, p2, [x22, #2, MUL VL]\n" "uzp1 z24.d, z24.d, z28.d\n" - "st1w { z10.s }, p2, [x24, #2, MUL VL]\n" + "st1w { z22.s }, p1, [x22, #3, MUL VL]\n" "uzp1 z25.d, z25.d, z29.d\n" + "st1w { z16.s }, p4, [x21]\n" "uzp1 z26.d, z26.d, z30.d\n" - "st1w { z11.s }, p1, [x24, #3, MUL VL]\n" + "st1w { z17.s }, p3, [x21, #1, MUL VL]\n" "uzp1 z27.d, z27.d, z31.d\n" - "st1w { z15.s }, p4, [x23]\n" - "st1w { z20.s }, p3, [x23, #1, MUL VL]\n" - "st1w { z21.s }, p2, [x23, #2, MUL VL]\n" - "st1w { z22.s }, p1, [x23, #3, MUL VL]\n" - "st1w { z16.s }, p4, [x22]\n" - "st1w { z17.s }, p3, [x22, #1, MUL VL]\n" - "st1w { z18.s }, p2, [x22, #2, MUL VL]\n" - "st1w { z19.s }, p1, [x22, #3, MUL VL]\n" - "st1w { z24.s }, p4, [x21]\n" - "st1w { z25.s }, p3, [x21, #1, MUL VL]\n" - "st1w { z26.s }, p2, [x21, #2, MUL VL]\n" - "st1w { z27.s }, p1, [x21, #3, MUL VL]\n" + "st1w { z18.s }, p2, [x21, #2, MUL VL]\n" + "st1w { z19.s }, p1, [x21, #3, MUL VL]\n" + "st1w { z24.s }, p4, [x20]\n" + "st1w { z25.s }, p3, [x20, #1, MUL VL]\n" + "st1w { z26.s }, p2, [x20, #2, MUL VL]\n" + "st1w { z27.s }, p1, [x20, #3, MUL VL]\n" "55:" // Height 5: Writeback done - "decw x11, ALL, MUL #4\n" - "cmp x11, XZR\n" + "decw x10, ALL, MUL #4\n" + "cmp x10, XZR\n" "bgt 46b\n" "b 68f\n" "56:" // Height 6 - "ldr x21, [%x[args_ptr], %[offsetof_output_offset]]\n" + "ldr x10, [%x[args_ptr], %[offsetof_N]]\n" + "mov x28, %x[output_ptr]\n" + "ldr x9, [%x[args_ptr], %[offsetof_B_ptr]]\n" "mov x20, #0x18\n" - "ldr x11, [%x[args_ptr], %[offsetof_N]]\n" - "mov x9, %x[output_ptr]\n" - "ldr x10, [%x[args_ptr], %[offsetof_B_ptr]]\n" - "madd %x[output_ptr], x21, x20, %x[output_ptr]\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" + "madd %x[output_ptr], x19, x20, %x[output_ptr]\n" "57:" // Height 6: Column loop - "mov x20, #0x0\n" - "whilelt p4.s, x20, x11\n" - "incw x20\n" - "whilelt p3.s, x20, x11\n" - "incw x20\n" - "whilelt p2.s, x20, x11\n" - "incw x20\n" - "whilelt p1.s, x20, x11\n" + "mov x19, #0x0\n" + "whilelt p4.s, x19, x10\n" + "incw x19\n" + "whilelt p3.s, x19, x10\n" + "incw x19\n" + "whilelt p2.s, x19, x10\n" + "incw x19\n" + "whilelt p1.s, x19, x10\n" "tbz %x[flags], #0, 58f\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" - "ld1w { z9.s }, p4/Z, [x9]\n" - "add x22, x23, x20, LSL #2\n" - "add x21, x22, x20, LSL #2\n" - "ld1w { z10.s }, p3/Z, [x9, #1, MUL VL]\n" - "ld1w { z11.s }, p2/Z, [x9, #2, MUL VL]\n" - "add x20, x21, x20, LSL #2\n" - "ld1w { z16.s }, p1/Z, [x9, #3, MUL VL]\n" - "ld1w { z12.s }, p4/Z, [x24]\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" + "ld1w { z9.s }, p4/Z, [x28]\n" + "add x23, x28, x19, LSL #2\n" + "ld1w { z10.s }, p3/Z, [x28, #1, MUL VL]\n" + "ld1w { z11.s }, p2/Z, [x28, #2, MUL VL]\n" + "add x22, x23, x19, LSL #2\n" + "ld1w { z16.s }, p1/Z, [x28, #3, MUL VL]\n" + "add x21, x22, x19, LSL #2\n" + "ld1w { z12.s }, p4/Z, [x23]\n" "zip1 z8.d, z9.d, z12.d\n" - "ld1w { z13.s }, p3/Z, [x24, #1, MUL VL]\n" - "ld1w { z14.s }, p2/Z, [x24, #2, MUL VL]\n" + "ld1w { z13.s }, p3/Z, [x23, #1, MUL VL]\n" + "add x20, x21, x19, LSL #2\n" "zip2 z12.d, z9.d, z12.d\n" + "ld1w { z14.s }, p2/Z, [x23, #2, MUL VL]\n" + "add x19, x20, x19, LSL #2\n" "zip1 z9.d, z10.d, z13.d\n" - "ld1w { z15.s }, p1/Z, [x24, #3, MUL VL]\n" - "ld1w { z17.s }, p4/Z, [x23]\n" + "ld1w { z15.s }, p1/Z, [x23, #3, MUL VL]\n" "zip2 z13.d, z10.d, z13.d\n" + "ld1w { z17.s }, p4/Z, [x22]\n" "zip1 z10.d, z11.d, z14.d\n" - "ld1w { z18.s }, p3/Z, [x23, #1, MUL VL]\n" - "ld1w { z19.s }, p2/Z, [x23, #2, MUL VL]\n" + "ld1w { z18.s }, p3/Z, [x22, #1, MUL VL]\n" "zip2 z14.d, z11.d, z14.d\n" + "ld1w { z19.s }, p2/Z, [x22, #2, MUL VL]\n" "zip1 z11.d, z16.d, z15.d\n" - "ld1w { z24.s }, p1/Z, [x23, #3, MUL VL]\n" - "ld1w { z20.s }, p4/Z, [x22]\n" + "ld1w { z24.s }, p1/Z, [x22, #3, MUL VL]\n" "zip2 z15.d, z16.d, z15.d\n" + "ld1w { z20.s }, p4/Z, [x21]\n" + "ld1w { z21.s }, p3/Z, [x21, #1, MUL VL]\n" "zip1 z16.d, z17.d, z20.d\n" - "ld1w { z21.s }, p3/Z, [x22, #1, MUL VL]\n" - "ld1w { z22.s }, p2/Z, [x22, #2, MUL VL]\n" + "ld1w { z22.s }, p2/Z, [x21, #2, MUL VL]\n" "zip2 z20.d, z17.d, z20.d\n" + "ld1w { z23.s }, p1/Z, [x21, #3, MUL VL]\n" "zip1 z17.d, z18.d, z21.d\n" - "ld1w { z23.s }, p1/Z, [x22, #3, MUL VL]\n" - "ld1w { z25.s }, p4/Z, [x21]\n" + "ld1w { z25.s }, p4/Z, [x20]\n" "zip2 z21.d, z18.d, z21.d\n" + "ld1w { z26.s }, p3/Z, [x20, #1, MUL VL]\n" "zip1 z18.d, z19.d, z22.d\n" - "ld1w { z26.s }, p3/Z, [x21, #1, MUL VL]\n" - "ld1w { z27.s }, p2/Z, [x21, #2, MUL VL]\n" + "ld1w { z27.s }, p2/Z, [x20, #2, MUL VL]\n" "zip2 z22.d, z19.d, z22.d\n" + "ld1w { z6.s }, p1/Z, [x20, #3, MUL VL]\n" "zip1 z19.d, z24.d, z23.d\n" - "ld1w { z6.s }, p1/Z, [x21, #3, MUL VL]\n" - "ld1w { z28.s }, p4/Z, [x20]\n" + "ld1w { z28.s }, p4/Z, [x19]\n" "zip2 z23.d, z24.d, z23.d\n" + "ld1w { z29.s }, p3/Z, [x19, #1, MUL VL]\n" + "ld1w { z30.s }, p2/Z, [x19, #2, MUL VL]\n" "zip1 z24.d, z25.d, z28.d\n" - "ld1w { z29.s }, p3/Z, [x20, #1, MUL VL]\n" - "ld1w { z30.s }, p2/Z, [x20, #2, MUL VL]\n" + "ld1w { z31.s }, p1/Z, [x19, #3, MUL VL]\n" "zip2 z28.d, z25.d, z28.d\n" "zip1 z25.d, z26.d, z29.d\n" - "ld1w { z31.s }, p1/Z, [x20, #3, MUL VL]\n" "zip2 z29.d, z26.d, z29.d\n" "zip1 z26.d, z27.d, z30.d\n" "zip2 z30.d, z27.d, z30.d\n" @@ -1385,120 +1385,120 @@ void sve_hybrid_u8u32_mmla_6x4VL ( "mov z30.s, #0x0\n" "mov z31.s, #0x0\n" "59:" // Height 6: setup done - "mov x28, #0x0\n" + "mov x27, #0x0\n" "60:" // Height 6: String loop "ldr x20, [%x[args_ptr], %[offsetof_string_lengths]]\n" - "ldr w27, [x20, x28, LSL #0x2]\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr w26, [x20, x27, LSL #0x2]\n" "tbz %x[flags], #3, 61f\n" - "ldr x21, [%x[input_ptr], x28, LSL #0x3]\n" - "add x21, x21, x20, LSL #3\n" - "ldr x26, [x21, #0x0]\n" - "ldr x25, [x21, #0x8]\n" - "ldr x24, [x21, #0x10]\n" - "ldr x23, [x21, #0x18]\n" - "ldr x22, [x21, #0x20]\n" - "ldr x21, [x21, #0x28]\n" - "cbnz x28, 62f\n" - "ldr x20, [%x[args_ptr], %[offsetof_input_initial_col]]\n" - "add x26, x26, x20\n" - "add x25, x25, x20\n" - "add x24, x24, x20\n" - "add x23, x23, x20\n" - "add x22, x22, x20\n" - "add x21, x21, x20\n" + "ldr x20, [%x[input_ptr], x27, LSL #0x3]\n" + "add x20, x20, x19, LSL #3\n" + "ldr x25, [x20, #0x0]\n" + "ldr x24, [x20, #0x8]\n" + "ldr x23, [x20, #0x10]\n" + "ldr x22, [x20, #0x18]\n" + "ldr x21, [x20, #0x20]\n" + "ldr x20, [x20, #0x28]\n" + "cbnz x27, 62f\n" + "ldr x19, [%x[args_ptr], %[offsetof_input_initial_col]]\n" + "add x25, x25, x19\n" + "add x24, x24, x19\n" + "add x23, x23, x19\n" + "add x22, x22, x19\n" + "add x21, x21, x19\n" + "add x20, x20, x19\n" "b 62f\n" "61:" // Height 6: setup direct input - "mov x26, %x[input_ptr]\n" - "add x25, x26, x20\n" - "add x24, x25, x20\n" - "add x23, x24, x20\n" - "add x22, x23, x20\n" - "add x21, x22, x20\n" + "mov x25, %x[input_ptr]\n" + "add x24, x25, x19\n" + "add x23, x24, x19\n" + "add x22, x23, x19\n" + "add x21, x22, x19\n" + "add x20, x21, x19\n" "62:" // Height 6: input setup done - "cmp x27, #0x10\n" + "cmp x26, #0x10\n" "ble 64f\n" "63:" // Height 6: Multiply loop: Main loop head - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "sub x26, x26, #0x10\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" - "ld1rqb { z4.b }, p0/Z, [x23]\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" + "cmp x26, #0x10\n" "trn2 z1.d, z1.d, z2.d\n" + "ld1rqb { z4.b }, p0/Z, [x22]\n" + "add x25, x25, #0x10\n" + ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1rqb { z5.b }, p0/Z, [x21]\n" + "add x24, x24, #0x10\n" "trn1 z2.d, z3.d, z4.d\n" - "ld1rqb { z5.b }, p0/Z, [x22]\n" - "ld1rqb { z6.b }, p0/Z, [x21]\n" + "ld1rqb { z6.b }, p0/Z, [x20]\n" + "add x23, x23, #0x10\n" "trn2 z3.d, z3.d, z4.d\n" + "add x22, x22, #0x10\n" + "add x21, x21, #0x10\n" + ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "add x20, x20, #0x10\n" "trn1 z4.d, z5.d, z6.d\n" "trn2 z5.d, z5.d, z6.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" - ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" - ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79898 // ummla z24.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "sub x27, x27, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" - "cmp x27, #0x10\n" - "add x26, x26, #0x10\n" ".inst 0x45c6989c // ummla z28.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" - "add x25, x25, #0x10\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" ".inst 0x45c79899 // ummla z25.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" - "add x24, x24, #0x10\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" - "add x23, x23, #0x10\n" - "add x22, x22, #0x10\n" ".inst 0x45c6989d // ummla z29.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" - "add x21, x21, #0x10\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" ".inst 0x45c7989a // ummla z26.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" ".inst 0x45c6989e // ummla z30.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #16\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #16\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" ".inst 0x45c7989b // ummla z27.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-8, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-8, MUL VL]\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" ".inst 0x45c6989f // ummla z31.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-7, MUL VL]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" ".inst 0x45c798b8 // ummla z24.s, z5.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-6, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" ".inst 0x45c698bc // ummla z28.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-5, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" ".inst 0x45c798b9 // ummla z25.s, z5.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" ".inst 0x45c698bd // ummla z29.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-3, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" ".inst 0x45c798ba // ummla z26.s, z5.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #-2, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #-2, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" ".inst 0x45c698be // ummla z30.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #-1, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #-1, MUL VL]\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c798bb // ummla z27.s, z5.b, z7.b\n" @@ -1507,81 +1507,81 @@ void sve_hybrid_u8u32_mmla_6x4VL ( ".inst 0x45c698bf // ummla z31.s, z5.b, z6.b\n" "bgt 63b\n" "64:" // Height 6: Multiply loop: Single iteration only - "whilelt p0.b, XZR, x27\n" - "ld1rqb { z1.b }, p0/Z, [x26]\n" - "ld1rqb { z2.b }, p0/Z, [x25]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" + "whilelt p0.b, XZR, x26\n" + "subs x26, x26, #0x8\n" + "ld1rqb { z1.b }, p0/Z, [x25]\n" + "ld1rqb { z2.b }, p0/Z, [x24]\n" "trn1 z0.d, z1.d, z2.d\n" - "ld1rqb { z3.b }, p0/Z, [x24]\n" - "ld1rqb { z4.b }, p0/Z, [x23]\n" + "ld1rqb { z3.b }, p0/Z, [x23]\n" "trn2 z1.d, z1.d, z2.d\n" + "ld1rqb { z4.b }, p0/Z, [x22]\n" + "ld1rqb { z5.b }, p0/Z, [x21]\n" + ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1rqb { z6.b }, p0/Z, [x20]\n" "trn1 z2.d, z3.d, z4.d\n" - "ld1rqb { z5.b }, p0/Z, [x22]\n" - "ld1rqb { z6.b }, p0/Z, [x21]\n" "trn2 z3.d, z3.d, z4.d\n" "trn1 z4.d, z5.d, z6.d\n" "trn2 z5.d, z5.d, z6.d\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" - ".inst 0x45c79808 // ummla z8.s, z0.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79850 // ummla z16.s, z2.b, z7.b\n" ".inst 0x45c79898 // ummla z24.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" - "subs x27, x27, #0x8\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6980c // ummla z12.s, z0.b, z6.b\n" ".inst 0x45c69854 // ummla z20.s, z2.b, z6.b\n" ".inst 0x45c6989c // ummla z28.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79809 // ummla z9.s, z0.b, z7.b\n" ".inst 0x45c79851 // ummla z17.s, z2.b, z7.b\n" ".inst 0x45c79899 // ummla z25.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6980d // ummla z13.s, z0.b, z6.b\n" ".inst 0x45c69855 // ummla z21.s, z2.b, z6.b\n" ".inst 0x45c6989d // ummla z29.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7980a // ummla z10.s, z0.b, z7.b\n" ".inst 0x45c79852 // ummla z18.s, z2.b, z7.b\n" ".inst 0x45c7989a // ummla z26.s, z4.b, z7.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6980e // ummla z14.s, z0.b, z6.b\n" ".inst 0x45c69856 // ummla z22.s, z2.b, z6.b\n" ".inst 0x45c6989e // ummla z30.s, z4.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7980b // ummla z11.s, z0.b, z7.b\n" - "addvl x10, x10, #8\n" ".inst 0x45c79853 // ummla z19.s, z2.b, z7.b\n" ".inst 0x45c7989b // ummla z27.s, z4.b, z7.b\n" ".inst 0x45c6980f // ummla z15.s, z0.b, z6.b\n" ".inst 0x45c69857 // ummla z23.s, z2.b, z6.b\n" ".inst 0x45c6989f // ummla z31.s, z4.b, z6.b\n" "ble 65f\n" - "ld1b { z7.b }, p5/Z, [x10]\n" - "ld1b { z6.b }, p5/Z, [x10, #1, MUL VL]\n" + "ld1b { z7.b }, p5/Z, [x9]\n" ".inst 0x45c79828 // ummla z8.s, z1.b, z7.b\n" + "ld1b { z6.b }, p5/Z, [x9, #1, MUL VL]\n" ".inst 0x45c79870 // ummla z16.s, z3.b, z7.b\n" ".inst 0x45c798b8 // ummla z24.s, z5.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #2, MUL VL]\n" ".inst 0x45c6982c // ummla z12.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #2, MUL VL]\n" ".inst 0x45c69874 // ummla z20.s, z3.b, z6.b\n" ".inst 0x45c698bc // ummla z28.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #3, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #3, MUL VL]\n" ".inst 0x45c79829 // ummla z9.s, z1.b, z7.b\n" ".inst 0x45c79871 // ummla z17.s, z3.b, z7.b\n" ".inst 0x45c798b9 // ummla z25.s, z5.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #4, MUL VL]\n" ".inst 0x45c6982d // ummla z13.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #4, MUL VL]\n" ".inst 0x45c69875 // ummla z21.s, z3.b, z6.b\n" ".inst 0x45c698bd // ummla z29.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #5, MUL VL]\n" + "ld1b { z6.b }, p5/Z, [x9, #5, MUL VL]\n" ".inst 0x45c7982a // ummla z10.s, z1.b, z7.b\n" ".inst 0x45c79872 // ummla z18.s, z3.b, z7.b\n" ".inst 0x45c798ba // ummla z26.s, z5.b, z7.b\n" + "ld1b { z7.b }, p5/Z, [x9, #6, MUL VL]\n" ".inst 0x45c6982e // ummla z14.s, z1.b, z6.b\n" - "ld1b { z7.b }, p5/Z, [x10, #6, MUL VL]\n" ".inst 0x45c69876 // ummla z22.s, z3.b, z6.b\n" ".inst 0x45c698be // ummla z30.s, z5.b, z6.b\n" - "ld1b { z6.b }, p5/Z, [x10, #7, MUL VL]\n" - "addvl x10, x10, #8\n" + "ld1b { z6.b }, p5/Z, [x9, #7, MUL VL]\n" + "addvl x9, x9, #8\n" ".inst 0x45c7982b // ummla z11.s, z1.b, z7.b\n" ".inst 0x45c79873 // ummla z19.s, z3.b, z7.b\n" ".inst 0x45c798bb // ummla z27.s, z5.b, z7.b\n" @@ -1589,85 +1589,85 @@ void sve_hybrid_u8u32_mmla_6x4VL ( ".inst 0x45c69877 // ummla z23.s, z3.b, z6.b\n" ".inst 0x45c698bf // ummla z31.s, z5.b, z6.b\n" "65:" // Height 6: Multiply loop: multiply skip - "ldr w20, [%x[args_ptr], %[offsetof_num_strings]]\n" - "add x28, x28, #0x1\n" - "cmp x28, x20\n" + "ldr w19, [%x[args_ptr], %[offsetof_num_strings]]\n" + "add x27, x27, #0x1\n" + "cmp x27, x19\n" "bne 60b\n" - "ldr x20, [%x[args_ptr], %[offsetof_output_offset]]\n" - "add x24, x9, x20, LSL #2\n" - "add x23, x24, x20, LSL #2\n" "uzp1 z7.d, z8.d, z12.d\n" - "add x22, x23, x20, LSL #2\n" - "add x21, x22, x20, LSL #2\n" + "ldr x19, [%x[args_ptr], %[offsetof_output_offset]]\n" "uzp2 z8.d, z8.d, z12.d\n" + "st1w { z7.s }, p4, [x28]\n" "uzp1 z12.d, z9.d, z13.d\n" - "add x20, x21, x20, LSL #2\n" + "add x23, x28, x19, LSL #2\n" "uzp2 z9.d, z9.d, z13.d\n" + "st1w { z12.s }, p3, [x28, #1, MUL VL]\n" "uzp1 z13.d, z10.d, z14.d\n" - "st1w { z7.s }, p4, [x9]\n" + "add x22, x23, x19, LSL #2\n" "uzp2 z10.d, z10.d, z14.d\n" + "st1w { z13.s }, p2, [x28, #2, MUL VL]\n" "uzp1 z14.d, z11.d, z15.d\n" - "st1w { z12.s }, p3, [x9, #1, MUL VL]\n" + "add x21, x22, x19, LSL #2\n" "uzp2 z11.d, z11.d, z15.d\n" + "st1w { z14.s }, p1, [x28, #3, MUL VL]\n" "uzp1 z15.d, z16.d, z20.d\n" - "st1w { z13.s }, p2, [x9, #2, MUL VL]\n" + "add x20, x21, x19, LSL #2\n" "uzp2 z16.d, z16.d, z20.d\n" + "st1w { z8.s }, p4, [x23]\n" + "add x19, x20, x19, LSL #2\n" "uzp1 z20.d, z17.d, z21.d\n" - "st1w { z14.s }, p1, [x9, #3, MUL VL]\n" - "addvl x9, x9, #4\n" + "st1w { z9.s }, p3, [x23, #1, MUL VL]\n" + "addvl x28, x28, #4\n" "uzp2 z17.d, z17.d, z21.d\n" + "st1w { z10.s }, p2, [x23, #2, MUL VL]\n" "uzp1 z21.d, z18.d, z22.d\n" - "st1w { z8.s }, p4, [x24]\n" + "st1w { z11.s }, p1, [x23, #3, MUL VL]\n" "uzp2 z18.d, z18.d, z22.d\n" + "st1w { z15.s }, p4, [x22]\n" "uzp1 z22.d, z19.d, z23.d\n" - "st1w { z9.s }, p3, [x24, #1, MUL VL]\n" + "st1w { z20.s }, p3, [x22, #1, MUL VL]\n" "uzp2 z19.d, z19.d, z23.d\n" + "st1w { z21.s }, p2, [x22, #2, MUL VL]\n" "uzp1 z23.d, z24.d, z28.d\n" - "st1w { z10.s }, p2, [x24, #2, MUL VL]\n" + "st1w { z22.s }, p1, [x22, #3, MUL VL]\n" "uzp2 z24.d, z24.d, z28.d\n" + "st1w { z16.s }, p4, [x21]\n" "uzp1 z28.d, z25.d, z29.d\n" - "st1w { z11.s }, p1, [x24, #3, MUL VL]\n" + "st1w { z17.s }, p3, [x21, #1, MUL VL]\n" "uzp2 z25.d, z25.d, z29.d\n" + "st1w { z18.s }, p2, [x21, #2, MUL VL]\n" "uzp1 z29.d, z26.d, z30.d\n" - "st1w { z15.s }, p4, [x23]\n" + "st1w { z19.s }, p1, [x21, #3, MUL VL]\n" "uzp2 z26.d, z26.d, z30.d\n" + "st1w { z23.s }, p4, [x20]\n" "uzp1 z30.d, z27.d, z31.d\n" - "st1w { z20.s }, p3, [x23, #1, MUL VL]\n" + "st1w { z28.s }, p3, [x20, #1, MUL VL]\n" "uzp2 z27.d, z27.d, z31.d\n" - "st1w { z21.s }, p2, [x23, #2, MUL VL]\n" - "st1w { z22.s }, p1, [x23, #3, MUL VL]\n" - "st1w { z16.s }, p4, [x22]\n" - "st1w { z17.s }, p3, [x22, #1, MUL VL]\n" - "st1w { z18.s }, p2, [x22, #2, MUL VL]\n" - "st1w { z19.s }, p1, [x22, #3, MUL VL]\n" - "st1w { z23.s }, p4, [x21]\n" - "st1w { z28.s }, p3, [x21, #1, MUL VL]\n" - "st1w { z29.s }, p2, [x21, #2, MUL VL]\n" - "st1w { z30.s }, p1, [x21, #3, MUL VL]\n" - "st1w { z24.s }, p4, [x20]\n" - "st1w { z25.s }, p3, [x20, #1, MUL VL]\n" - "st1w { z26.s }, p2, [x20, #2, MUL VL]\n" - "st1w { z27.s }, p1, [x20, #3, MUL VL]\n" + "st1w { z29.s }, p2, [x20, #2, MUL VL]\n" + "st1w { z30.s }, p1, [x20, #3, MUL VL]\n" + "st1w { z24.s }, p4, [x19]\n" + "st1w { z25.s }, p3, [x19, #1, MUL VL]\n" + "st1w { z26.s }, p2, [x19, #2, MUL VL]\n" + "st1w { z27.s }, p1, [x19, #3, MUL VL]\n" "66:" // Height 6: Writeback done - "decw x11, ALL, MUL #4\n" - "cmp x11, XZR\n" + "decw x10, ALL, MUL #4\n" + "cmp x10, XZR\n" "bgt 57b\n" "subs %x[M], %x[M], #0x6\n" "beq 68f\n" - "ldr x21, [%x[args_ptr], %[offsetof_input_offset]]\n" + "ldr x20, [%x[args_ptr], %[offsetof_input_offset]]\n" "tbz %x[flags], #3, 67f\n" - "add x21, x21, #0x6\n" - "str x21, [%x[args_ptr], %[offsetof_input_offset]]\n" + "add x20, x20, #0x6\n" + "str x20, [%x[args_ptr], %[offsetof_input_offset]]\n" "b 1b\n" "67:" // Update direct input - "mov x20, #0x6\n" - "madd %x[input_ptr], x20, x21, %x[input_ptr]\n" + "mov x19, #0x6\n" + "madd %x[input_ptr], x19, x20, %x[input_ptr]\n" "b 1b\n" "68:" // Exit : [M] "+&r" (M), [input_ptr] "+&r" (input_ptr), [output_ptr] "+&r" (output_ptr) : [args_ptr] "r" (&ka), [flags] "r" (flags), [offsetof_B_ptr] "I" (offsetof(KernelArgs, B_ptr)), [offsetof_N] "I" (offsetof(KernelArgs, N)), [offsetof_input_initial_col] "I" (offsetof(KernelArgs, input_initial_col)), [offsetof_input_offset] "I" (offsetof(KernelArgs, input_offset)), [offsetof_num_strings] "I" (offsetof(KernelArgs, num_strings)), [offsetof_output_offset] "I" (offsetof(KernelArgs, output_offset)), [offsetof_string_lengths] "I" (offsetof(KernelArgs, string_lengths)) - : "cc", "memory", "p0", "p1", "p2", "p3", "p4", "p5", "x9", "x10", "x11", "x20", "x21", "x22", "x23", "x24", "x25", "x26", "x27", "x28", "z0", "z1", "z2", "z3", "z4", "z5", "z6", "z7", "z8", "z9", "z10", "z11", "z12", "z13", "z14", "z15", "z16", "z17", "z18", "z19", "z20", "z21", "z22", "z23", "z24", "z25", "z26", "z27", "z28", "z29", "z30", "z31" + : "cc", "memory", "p0", "p1", "p2", "p3", "p4", "p5", "x9", "x10", "x19", "x20", "x21", "x22", "x23", "x24", "x25", "x26", "x27", "x28", "z0", "z1", "z2", "z3", "z4", "z5", "z6", "z7", "z8", "z9", "z10", "z11", "z12", "z13", "z14", "z15", "z16", "z17", "z18", "z19", "z20", "z21", "z22", "z23", "z24", "z25", "z26", "z27", "z28", "z29", "z30", "z31" ); } -- cgit v1.2.1