aboutsummaryrefslogtreecommitdiff
path: root/operators/ew_binary/bitwise_or/bitwise_or_29_i32
diff options
context:
space:
mode:
authorJeremy Johnson <jeremy.johnson@arm.com>2022-06-17 13:06:34 +0100
committerJeremy Johnson <jeremy.johnson@arm.com>2022-06-17 13:06:34 +0100
commitd53378c6e205afdc2a538ac438ecc717ccc2718c (patch)
tree95dfa1097fcad523250a264a4548f629a3082f57 /operators/ew_binary/bitwise_or/bitwise_or_29_i32
parent26c9e26c22c744dd05a2fe09763ac09bc09711db (diff)
downloadconformance_tests-d53378c6e205afdc2a538ac438ecc717ccc2718c.tar.gz
Updating BITWISE_OR tests for v0.30.0 release
Signed-off-by: Jeremy Johnson <jeremy.johnson@arm.com> Change-Id: I86e01aee3eaff011fc596f7e3f062ea621b575e4
Diffstat (limited to 'operators/ew_binary/bitwise_or/bitwise_or_29_i32')
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_29_i32/Conformance-result-0.json34
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_29_i32/desc.json22
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-0.json34
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-1.json6
-rw-r--r--operators/ew_binary/bitwise_or/bitwise_or_29_i32/test.json56
5 files changed, 152 insertions, 0 deletions
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_29_i32/Conformance-result-0.json b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/Conformance-result-0.json
new file mode 100644
index 000000000..c11e845cb
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/Conformance-result-0.json
@@ -0,0 +1,34 @@
+{
+ "type": "int32",
+ "data": [
+ 862976991,
+ -1712326273,
+ -805344291,
+ 1174367101,
+ 1433402237,
+ -277906101,
+ 1332701565,
+ 1467973451,
+ -478156419,
+ 1710193503,
+ -907018263,
+ -1115690503,
+ 805269373,
+ 594541561,
+ -1544554021,
+ 1433369933,
+ -1284542625,
+ 1332739919,
+ -2115010709,
+ 1275062649,
+ 1233119561,
+ 1206910459,
+ 729803629,
+ -100663329,
+ 904921055,
+ -939558563,
+ 1207958495,
+ 260041053,
+ -176166561
+ ]
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_29_i32/desc.json b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/desc.json
new file mode 100644
index 000000000..be172ba86
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/desc.json
@@ -0,0 +1,22 @@
+{
+ "tosa_file": "test.json",
+ "ifm_name": [
+ "input-0",
+ "input-1"
+ ],
+ "ifm_file": [
+ "input-0.npy",
+ "input-1.npy"
+ ],
+ "ofm_name": [
+ "result-0"
+ ],
+ "ofm_file": [
+ "result-0.npy"
+ ],
+ "expected_return_code": 0,
+ "expected_failure": false,
+ "expected_result_file": [
+ "Conformance-result-0.npy"
+ ]
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-0.json b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-0.json
new file mode 100644
index 000000000..924fc3020
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-0.json
@@ -0,0 +1,34 @@
+{
+ "type": "int32",
+ "data": [
+ 860132063,
+ -1729898433,
+ -805879139,
+ 1150380853,
+ 1427044980,
+ -278242294,
+ 1308952948,
+ 1467555402,
+ -483276683,
+ 1709773334,
+ -909123872,
+ -1118590799,
+ 783812221,
+ 587387569,
+ -1547251302,
+ 1429093445,
+ -1288884457,
+ 1309531719,
+ -2115862941,
+ 1274929201,
+ 1232330817,
+ 1204155579,
+ 708029037,
+ -122118185,
+ 881178591,
+ -957255331,
+ 1205722007,
+ 239001877,
+ -176455401
+ ]
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-1.json b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-1.json
new file mode 100644
index 000000000..e0290c867
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/input-1.json
@@ -0,0 +1,6 @@
+{
+ "type": "int32",
+ "data": [
+ 24078665
+ ]
+} \ No newline at end of file
diff --git a/operators/ew_binary/bitwise_or/bitwise_or_29_i32/test.json b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/test.json
new file mode 100644
index 000000000..13bd8916a
--- /dev/null
+++ b/operators/ew_binary/bitwise_or/bitwise_or_29_i32/test.json
@@ -0,0 +1,56 @@
+{
+ version: {
+ _major: 0,
+ _minor: 30,
+ _patch: 0,
+ _draft: false
+ },
+ blocks: [
+ {
+ name: "main",
+ operators: [
+ {
+ op: "BITWISE_OR",
+ attribute_type: "NONE",
+ inputs: [
+ "input-0",
+ "input-1"
+ ],
+ outputs: [
+ "result-0"
+ ]
+ }
+ ],
+ tensors: [
+ {
+ name: "input-0",
+ shape: [
+ 29
+ ],
+ type: "INT32"
+ },
+ {
+ name: "input-1",
+ shape: [
+ 1
+ ],
+ type: "INT32"
+ },
+ {
+ name: "result-0",
+ shape: [
+ 29
+ ],
+ type: "INT32"
+ }
+ ],
+ inputs: [
+ "input-0",
+ "input-1"
+ ],
+ outputs: [
+ "result-0"
+ ]
+ }
+ ]
+}