From 032bc74ca4bc6589a33f23db31accddc5b20baaa Mon Sep 17 00:00:00 2001 From: Finn Williams Date: Wed, 12 Feb 2020 11:02:34 +0000 Subject: IVGCVSW-4338 Implement the Activation of Counters in backends Signed-off-by: Finn Williams Change-Id: I4a2465f06e046f78242ff0a246c651638b205498 --- src/profiling/SendCounterPacket.cpp | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'src/profiling/SendCounterPacket.cpp') diff --git a/src/profiling/SendCounterPacket.cpp b/src/profiling/SendCounterPacket.cpp index 942caec295..f60586ed26 100644 --- a/src/profiling/SendCounterPacket.cpp +++ b/src/profiling/SendCounterPacket.cpp @@ -850,9 +850,9 @@ void SendCounterPacket::SendPeriodicCounterCapturePacket(uint64_t timestamp, con offset += uint64_t_size; for (const auto& pair: values) { - WriteUint16(writeBuffer, offset, pair.first); + WriteUint16(writeBuffer, offset, pair.counterId); offset += uint16_t_size; - WriteUint32(writeBuffer, offset, pair.second); + WriteUint32(writeBuffer, offset, pair.counterValue); offset += uint32_t_size; } -- cgit v1.2.1